CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 正弦

搜索资源列表

  1. DDS

    0下载:
  2. 这个一个基于FPGA的DDS原代码 可以生成正弦和余弦两种波形-This is a DDS code bepend on FPGA ,it can generate two waves.
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:9628
    • 提供者:wuyanjun
  1. 83390078DDS

    0下载:
  2. DDS的工作原理是以数控振荡器的方式产生频率、相位可控制的正弦波。电路一般包括基准时钟、频率累加器、相位累加器、幅度/相位转换电路、D/A转换器和低通滤波器(LPF)。频率累加器对输入信号进行累加运算,产生频率控制数据X(frequency data或相位步进量)。相位累加器由N位全加器和N位累加寄存器级联而成,对代表频率的2进制码进行累加运算,是典型的反馈电路,产生累加结果Y。幅度/相位转换电路实质上是一个波形寄存器,以供查表使用。读出的数据送入D/A转换器和低通滤波器。-DDS works
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-17
    • 文件大小:43774
    • 提供者:394177191
  1. SIGNAL_GEN

    0下载:
  2. 利用EDA的VHDL硬件描述语言设计的函数信号发生器,可以产生递增、递减斜波,三角波,阶梯波,正弦波,方波-The use of EDA, VHDL hardware descr iption language design function of the signal generator can generate increased progressively decreasing ramp, triangle wave, step-wave, sine wave, square wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:518701
    • 提供者:心心
  1. dds_final

    1下载:
  2. 使用Verilog HDL语言实现的一个DDS,可以发生0-10Mhz正弦波、方波、三角波,频率步进可调,FM调制、AM调制,调制度可调。DA芯片为8位并行,160MHz-Using the Verilog HDL language implementation of a DDS, can occur 0-10Mhz sine, square, triangle wave, frequency step tunable, FM modulation, AM modulation, adjusta
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-09-21
    • 文件大小:1638657
    • 提供者:nostalgia
  1. DDS1-2

    1下载:
  2. 利用FPGA设计一个直接数字频率合成器(DDS),要求能够通过键盘设定输出正弦波、三角波和方波,输出波形频率由键盘输入设定,液晶显示屏显示输出波形类型和频率,输出频率范围10Hz-20kHz,步长0.5Hz。-FPGA design using a direct digital synthesizer (DDS), requires the ability to set the keyboard output sine wave, triangle wave and square wave ou
  3. 所属分类:source in ebook

    • 发布日期:2017-04-09
    • 文件大小:1855933
    • 提供者:张箭箭
  1. 10512210247008

    0下载:
  2. 该数字式相位测量仪以单片机 (89c52) 为核心 , 通过高速计数器 CD4040 为计数器计算脉冲个数从 , 而达到计算相位的要求 , 通过 8279 驱动数码管显示正弦波的频率,不采用一般的模拟的振动器产生 , 而是采用单片机产生 , 从而实现了产生到显示的数字化 . 具有产生的频率精确 , 稳定的特点 . 相移部分采用一般的 RC 移相电路 , 节省了成本。-The digital phase-measuring instrument in order to microcontrolle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:145822
    • 提供者:包进辉
  1. New_Stepper_Motor_Drive_Circuit

    0下载:
  2. 本课题设计了一款用于两相混合式步进电机的驱动芯片,内部集成了PWM(脉 冲宽度调制)斩波控制和步进电机细分驱动功能,工作于36V并可持续输出1.5A 电流。PWM电流控制电路与3位非线性数模转换器相结合,可细分电机绕组上的 电流,对步进电机进行整步、半步、1/4步或1/8步的细分控制,从而实现了步 进电机不同步距角的运作。为了改善电机性能,特别是电机工作在微步距模式下 的正弦电流波形下时,芯片可提供三种不同的电流衰减模式(快衰减模式、慢衰 减模式、混合衰减模式)。同时,芯
  3. 所属分类:Project Design

    • 发布日期:2017-04-10
    • 文件大小:1684692
    • 提供者:孙文
  1. vhdl_source

    0下载:
  2. 函数发生器VHDL语言实现递增,递减锯齿波,方波,正弦波,阶梯波的实现-VHDL, function ,delta, sin, ladder ,isaw dsaw
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3747
    • 提供者:小花
  1. zhenxianxinhao

    0下载:
  2. 此文件是正弦信号发生器实验的源码,这是老师的资料,对课堂很有帮助-This file is the sine signal generator with source code, it is the teacher of information helpful to the classroom
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:574
    • 提供者:董军
  1. dds

    0下载:
  2. 块DDS芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算器三个部分(如Q2220)。频率控制寄存器可以串行或并行的方式装载并寄存用户输入的频率控制码;而相位累加器根据dds频率控制码在每个时钟周期内进行相位累加,得到一个相位值;正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。DDS芯片输出的一般是数字化的正弦波,因此还需经过高速D/A转换器和低通滤波器才能得到一个可用的模拟频率信号。 -In the programming step, the electronic
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:4849
    • 提供者:李彦伟
  1. sin5

    0下载:
  2. DDS FPGA 正弦波 VHDL语言-DDS FPGA 正弦波 VHDL语言
  3. 所属分类:Browser Plugins

    • 发布日期:2017-03-27
    • 文件大小:132909
    • 提供者:王盛力
  1. sin7

    0下载:
  2. DDS FPGA 正弦波 VHDL语言-DDS FPGA 正弦波 VHDL语言
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-04-04
    • 文件大小:585901
    • 提供者:王盛力
  1. sin

    1下载:
  2. QUARTUSS||环境下的简易正弦信号发生器的设计,VERILOG 代码,用到了嵌入式逻辑分析仪-QUARTUSS | | environment simple sinusoidal signal generator, VERILOG code, use the embedded logic analyzer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2955535
    • 提供者:sujiebin
  1. ad9850

    0下载:
  2. AD9850的控制程序,用于产生各种频率的正弦信号-AD9850 control program, used to generate sinusoidal signals of various frequencies
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:737
    • 提供者:godspeed
  1. BoXingFaSheng

    0下载:
  2. 多功能波形发生器VHDL程序与仿真 功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 --说明: SSS(前三位)和SW信号控制4种常见波形种哪种波形输出。4种波形的频率、 --幅度(基准幅度A)的调节均是通过up、down、set按键和4个BCD码置入器以及一 --个置入档位控制信号(ss)完成的(AMP的调节范围是0~5V,调节量
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:10019
    • 提供者:梁辰
  1. wave_finish

    0下载:
  2. 基于quartus2的信号发生器,可产生正弦,三角,方波-Based quartus2 signal generator can produce sine, triangle, square wave. .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1138714
    • 提供者:aaaajjjj
  1. vhdl

    0下载:
  2. 用vhdl实现的抢答器程序。正弦波,锯齿波,三角波发生器程序。基于pwm技术的数码流水灯程序。计数器程序。-Responder with vhdl implementation process. Sine wave, sawtooth wave, triangle wave generator program. Pwm technology based on digital light process flow. Counter program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5956
    • 提供者:杨雨
  1. sinbo

    0下载:
  2. 基于quartus II的正弦波发生器,可调频率相位,用其时序仿真即可显示,分模块设计的。有sin。mif文件.-Based quartus II of the sine wave generator, adjustable frequency and phase, with the timing simulation can show that sub-module design. A sin. mif file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:995764
    • 提供者:liyu
  1. bijiaoqi

    0下载:
  2. 比较器、加法器、pwm的VHDL描述 可以用作正弦变方波的器件 比较器经改变可做pwm-compare by vhdl ,use as changing sin to squart signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4920055
    • 提供者:王鹏
  1. sine-generator

    0下载:
  2. ROM型正弦信号发生器,从rom中读取正弦波的点,循环输出,经AD生成波形,环境为quartus-sine generator in quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:677439
    • 提供者:张文
« 1 2 3 4 56 7 8 9 10 ... 13 »
搜珍网 www.dssz.com